支架厂家
免费服务热线

Free service

hotline

010-00000000
支架厂家
热门搜索:
行业资讯
当前位置:首页 > 行业资讯

ModelsimFLI接口的协同仿真技术

发布时间:2020-06-30 19:29:18 阅读: 来源:支架厂家

1 前言 协同仿真就是利用仿真工具提供的外部接口,用其它程序设计语言(非HDL语言,如c语言等)编程,用辅助仿真工具进行仿真。Modelsim提供了与c语言的协同仿真接口。以Windows平台为例,用户可通过modelsim提供的c语言接口函数编程,生成动态链接库,由modelsim调用这些动态链接库进行辅助仿真,如图1所示。图1 协同仿真示意图

本文引用地址: Modelsim及FLI接口介绍 Modelsim是Model Technology(Mentor Graphics的子公司)的HDL硬件描述语言仿真软件,可以实现VHDL、Verilog以及VHDL-Verilog混合设计的仿真。除此之外,Modelsim还能够与c语言一起对HDL设计文件实现协同仿真。同时,相对于大多数的HDL仿真软件来说,Modelsim在仿真速度上也有明显优势。这些特点使Modelsim越来越受到EDA设计者、尤其是FPGA设计者的青睐。 Modelsim的FLI(Foreign Language Interface)接口,提供了c语言动态链接程序与仿真器的接口,可以通过c语言编程对设计文件进行辅助仿真。

3 协同仿真系统的结构及意义 Modelsim与c语言协同仿真,一是用于产生测试向量,避免手工编写测试向量的繁琐;二是可以根据程序计算结果自动检查仿真结果正确与否;三是模拟其它模块(如RAM)的功能,在系统级对设计文件仿真。实践中一般是把一和二结合在一起,用程序产生仿真向量,一方面输出给设计文件作为输入,另一方面由程序本身对该向量计算,把得到的结果与仿真器的输出结果比较,检查逻辑是否正确,如图2所示。至于模拟功能,现在已经有一些通用芯片的模拟程序,如denali可以模拟RAM的功能。另外,用户也可以利用modelsim提供的编程接口自己模拟一些芯片的行为,然后与设计文件连接到一起仿真。图2 语言测试程序对VHDL设计文件的协同仿真结构图

4 C语言对VHDL设计文件的协同仿真4.1 构成框图 仿真文件的构成如图3所示,包括HDL文件和动态链接库(即c程序)。图中c程序对应的VHDL文件要负责声明对应的动态链接库文件名及初始化函数,另外还可以给出一些调用参数。动态链接中用到的输入输出信号也要在对应的VHDL文件中声明。图3 仿真文件构成示意图 例如,假定有一个DLL文件名为l,对应的初始化函数为sim_init,有输入信号in1、in2,输出信号out1、out2,可以这样编写对应的VHDL文件(d):library ieee;use d_logic_l;entity sim isport(in1 :in std_logic;in2 :in std logic;out1 :out std_logic;out2 :out std_logic;);end entity sire;architecture dll of sim isattribute foreign :string;attribute foreign of dll :architecture is sim_l”beginend; 仿真时,仿真器对顶层的HDL文件进行仿真,并根据各VHDL文件的动态链接库声明来调用、执行相应的动态链接库。4.2 动态链接库的程序结构 利用modelsim仿真时,可根据VHDL文件的声明,调用DLL文件(如l)。在VHDL文件中已经给出了调用文件(l)和初始化函数名(如sim_init),modelsim根据这些信息,调用l中的sim_init函数,完成初始化工作。初始化包括:①初始化全局变量;②设置VHDL输入输出信号与c程序变量的对应关系;③设置输出信号的一些初始状态(mti_ScheduleDriver);④设置在仿真器重新仿真(restart)和仿真器退出仿真(quit)等情况下执行的一些函数(mti_AddRestartCB和mti_AddQuitCB等),如释放动态申请内存等;⑤设置敏感表,给出在某些信号发生变化(如时钟上升沿等)时执行的函数。⑥其它。C程序的设计步骤如下:(1)包含头文件,包括c程序常用的一些头文件和modelsim给出的外部语言接口头文件mti.h。Modelsim给出的外部接口函数说明、类型定义等都在mti.h中。(2)定义自己的结构体,这一点主要是为了编程方便,例如输入输出信号对应的变量在各函数中基本上都会用到,可以把这些变量定义成一个结构,便于参数传递。(3)编写初始化函数初始化函数的定义为:init_func(mtiReginoIdT region,char *param,mtiInterfaceListT *generics,mtiInterfaceListT *ports) 各参数的意义可以参阅modelsim用户手册。 下面结合上面给出的初始化函数要完成的任务进行详细说明。a.初始化全局变量(略)b.设置VHDL输入输出信号与c程序变量的对应关系。这是通过调用mti_FindPort函数实现的。mti_FindPort函数定义为:mfiSignalIdT mti_FindPort(mtiInterfaceListT *list,char *name);例如,定义输入输出信号对应的结构ip:PortStruct ip;就可以用:ip_in1=mti_FindPort(ports,in1); 来实现输入信号in1与变量in1的对应关系。 对输出信号来说,它的目的是产生驱动。因此,这些变量(out1和out2)除了要找到对应的输出信号外,还要驱动这些信号。对信号的驱动可以通过调用mti_CreateDriver函数来实现。该函数的定义为:mtiDriverIdT mti_CreateDriver(mtiSignalIdT sig);

烟台西服制作

东营防静电工作服制作

威海定做工作服